PMD 85-3 - 74S287 - adresny dekoder ---------------------------------------------------------------------------- ------- | X7 | PC5 | PC4 | /AE0 | A12 | /MR | /MW | VID || Y3 | /CS10 | /CS32 | /XCAS | ---------------------------------------------------------------------------- ------- | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 0 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 0 | 1 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 0 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 0 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 0 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 1 | 0 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 1 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 1 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 1 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 1 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 1 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 1 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 1 | 1 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 1 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 1 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 1 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 0 | 1 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 0 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 0 | 0 | 0 | 1 | 0 || 0 | 1 | 0 | 0 | | 0 | 0 | 1 | 0 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 0 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 0 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 0 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 0 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 0 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 0 | 1 | 0 | 1 | 0 || 0 | 0 | 1 | 0 | | 0 | 0 | 1 | 0 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 0 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 0 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 0 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 1 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 1 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 1 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 1 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 1 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 1 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 1 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 1 | 1 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 1 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 1 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 0 | 1 | 1 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 0 || 0 | 1 | 0 | 0 | | 0 | 1 | 0 | 0 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 0 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 1 | 0 | 0 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 0 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 0 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 0 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 0 | 1 | 0 | 1 | 0 || 0 | 0 | 1 | 0 | | 0 | 1 | 0 | 0 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 1 | 0 | 0 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 0 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 0 | 0 | 1 | 0 || 0 | 1 | 0 | 0 | | 0 | 1 | 0 | 1 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 1 | 0 | 1 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 1 | 0 | 1 | 0 || 0 | 0 | 1 | 0 | | 0 | 1 | 0 | 1 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 1 | 0 | 1 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 0 | 0 | 1 | 0 || 0 | 1 | 0 | 0 | | 0 | 1 | 1 | 0 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 1 | 1 | 0 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 1 | 0 | 1 | 0 || 0 | 0 | 1 | 0 | | 0 | 1 | 1 | 0 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 1 | 1 | 0 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 0 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 0 || 0 | 1 | 0 | 0 | | 0 | 1 | 1 | 1 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 1 | 1 | 1 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 1 | 0 | 1 | 0 || 0 | 0 | 1 | 0 | | 0 | 1 | 1 | 1 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 0 || 0 | 1 | 0 | 0 | | 1 | 0 | 0 | 0 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 0 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 0 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 0 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 0 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 0 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 0 | 1 | 0 | 1 | 0 || 0 | 0 | 1 | 0 | | 1 | 0 | 0 | 0 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 0 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 0 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 1 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 1 | 0 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 1 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 1 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 1 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 1 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 1 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 1 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 1 | 1 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 1 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 1 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 1 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 0 | 1 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 0 | 0 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 0 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 0 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 0 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 0 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 0 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 0 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 0 | 1 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 0 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 0 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 0 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 0 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 1 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 1 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 1 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 1 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 1 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 1 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 1 | 1 | 0 | 1 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 1 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 1 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 1 | | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 0 | 1 | 1 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 1 | | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 0 || 0 | 1 | 0 | 0 | | 1 | 1 | 0 | 0 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 1 | 0 | 1 | 0 || 0 | 0 | 1 | 0 | | 1 | 1 | 0 | 0 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 0 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 0 | 0 | 1 | 0 || 0 | 1 | 0 | 0 | | 1 | 1 | 0 | 1 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 1 | 0 | 1 | 0 || 0 | 0 | 1 | 0 | | 1 | 1 | 0 | 1 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 0 | 1 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 0 | 0 | 1 | 0 || 0 | 1 | 0 | 0 | | 1 | 1 | 1 | 0 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 1 | 0 | 1 | 0 || 0 | 0 | 1 | 0 | | 1 | 1 | 1 | 0 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 0 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 0 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 0 || 0 | 1 | 0 | 0 | | 1 | 1 | 1 | 1 | 0 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 0 | 1 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 0 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 0 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 0 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 1 | 0 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 1 | 0 | 1 | 0 || 0 | 0 | 1 | 0 | | 1 | 1 | 1 | 1 | 1 | 0 | 1 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 1 | 1 | 0 | 1 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 0 || 0 | 1 | 1 | 0 | | 1 | 1 | 1 | 1 | 1 | 1 | 1 | 1 || 0 | 1 | 1 | 0 | ---------------------------------------------------------------------------- -------